CONTROL ADAPTATIVO EN VHDL APLICADOS A UN HORNO SOLAR

Cecilia Sandoval Ruiz

Resumen


En este trabajo se describe el diseño de una aplicación de control adaptativo
para el manejo de la variable temperatura en un horno solar, enmarcado bajo la filosofía de hardware configurable, a través de la descripción encódigoVHDL (Very high speed integrated circuit Hardware Description Language). Inicialmente, se realiza un estudio de las tendencias y modelos adaptativos, para su descripción sobre un dispositivo FPGA. La revisión teórica permitió definir los requerimientos para diversos algoritmos de control con ajuste de coeficientes, control adaptativo, entrenamiento de redes neuronales.Se validó el comportamiento de seguimiento de la referencia en una primera prueba de ganancia adaptativa y se propuso el sistema de control para el horno solar, reportando el rendimiento de los algoritmos estudiados, resultando estos eficientes para aplicaciones solares basadas en arreglos adaptativos de paneles reflectantes para control de temperatura.


Texto completo:

PDF


DOI: https://doi.org/10.24054/16927257.v23.n23.2014.2323

Enlaces refback

  • No hay ningún enlace refback.